您的浏览器禁用了JavaScript(一种计算机语言,用以实现您与网页的交互),请解除该禁用,或者联系我们。[安信证券]:电子行业专题:芯片制造核心瓶颈环节,光刻机国产替代加速推进 - 发现报告
当前位置:首页/行业研究/报告详情/

电子行业专题:芯片制造核心瓶颈环节,光刻机国产替代加速推进

电子设备2023-12-01安信证券周***
电子行业专题:芯片制造核心瓶颈环节,光刻机国产替代加速推进

本报告版权属于安信证券股份有限公司,各项声明请参见报告尾页。 1 2023年12月01日 电子 行业专题 芯片制造核心瓶颈环节,光刻机国产替代加速推进 证券研究报告 投资评级 领先大市-A 维持评级 首选股票 目标价(元) 评级 688167 炬光科技 139.30 买入-A 603005 晶方科技 26.37 买入-A 300990 同飞股份 48.30 买入-A 003031 中瓷电子 142.6 买入-A 行业表现 资料来源:Wind资讯 升幅% 1M 3M 12M 相对收益 2.6 11.3 13.4 绝对收益 0.2 3.6 4.1 马良 分析师 SAC执业证书编号:S1450518060001 maliang2@essence.com.cn 郭旺 分析师 SAC执业证书编号:S1450521080002 guowang@essence.com.cn 相关报告 美国政府30亿美元投资先进封装,全球手机市场或迎来复苏拐点 2023-11-26 VIVO发布首款AI大模型手机,小米汽车亮相工信部新车目录 2023-11-19 中芯国际上调全年资本开支预计,Meta VR或于明年底引进国内 2023-11-12 整车互连技术趋势及元器件投资机遇 2023-11-09 长鑫存储获大基金增资,新2023-11-05 光刻机是芯片制造核心设备,对制程升级发挥关键作用: 在芯片制造过程中,光刻工艺是最关键的一步,能够将图案从光掩模高精度地转移到衬底(通常是硅片)上。芯片工艺升级的主要目标之一是在半导体器件上实现更小的特征尺寸,具有先进技术的光刻机,如极紫外(EUV)光刻,允许更短的光波长,从而能够创建更小的图案并实现更高的分辨率,对芯片制程升级发挥关键作用。DUV光刻机通过多重曝光最高实现7nm制程,而波长更短的EUV光刻机可以实现5nm及以下制程。 全球光刻机市场超过200亿美元,ASML垄断高端市场: 2022年全球光刻机市场超过200亿美元,ASML、Canon、Nikon三大巨头垄断了大部分市场份额,光刻机营收分别达到了161亿美元、20亿美元、15亿美元,市场份额分别为82%、10%、8%。在超高端的EUV光刻机上,基本上ASML处于垄断地位。 国产28nm immersion式光刻机实现突破: 国产光刻机在技术节点上与国际厂商相比仍有差距,其中上海微电子是国内光刻机龙头企业,其SSX600系列光刻机可满足IC前道制造90nm、110nm、280nm关键层和非关键层的光刻工艺需求,可用于8寸线或12寸线的大规模工业生产。在之前90nm的基础上,上海微电子即将量产28nm immersion式光刻机,在2023年交付国产第一台SSA/800-10W光刻机设备。 国内厂商在多个光刻机零部件环节实现突破: 全球光刻机零部件市场规模约124亿美元,在零部件市场上,国内厂商已经在多个环节实现突破。茂莱光学研发的DUV光学透镜已应用于SMEE国产光刻机中,公司半导体检测设备光学模组供货KLA,公司投影镜分辨率达到30nm以下,而国外的ZEISS分辨率小于0.25nm;目前针对EUV光源,国内也有研究机构取得一定进展。早在2017年,中国科学院长春光学精密机械与物理研究所的“极紫外光刻关键技术研究”获得国家02专项的验收,从而推进了我国对EUV的技术研发;福光股份有望为光刻机等领域提供高精密光学镜头及光学系统;苏大维格向SMEE提供定位光栅部件,公司光栅尺周期精度小于1nm,且公司纳米压印技术国内领先;而-11%-1%9%19%29%39%2022-122023-042023-072023-11电子沪深300 本报告版权属于安信证券股份有限公司,各项声明请参见报告尾页。 2 行业专题/电子 光学晶体方面,福晶科技可供货LBO晶体、BBO晶体、Nd:YVO4晶体、磁光晶体;激光器方面,炬光科技提供的光源不均匀度控制在1%以下,国外FLSBA的光源不均匀度在3%~5%;晶方科技子公司控制的Anteryon为全球同时拥有混合镜头、晶圆级微型光学器件工艺技术设计、特性材料及量产能力的技术创新公司,其产品可广泛应用于半导体精密设备,目前为ASML持续供货。奥普光电为国内高端光栅编码器龙头,公司光栅编码器(用于测量对准精度)精度为1um/m,国外海德汉公司精准度为3um/m。 投资建议: 光刻机是“工业王冠上的宝石”,是芯片制造中最复杂、最昂贵的设备,国产替代势在必行,推荐炬光科技(688167)、晶方科技(603005);建议关注茂莱光学(688502)、福晶科技(002222);光刻机光学镜头关注福光股份(688010);光刻机光源系统关注波长光电(301421),奥普光电(002338);合分束器关注腾景科技(688195);温控设备推荐同飞股份(300990);陶瓷零部件推荐中瓷电子(003031),建议关注旭光电子(600353);直写光刻设备建议关注芯碁微装(688630)、苏大维格(300331)等。 风险提示: 研发技术风险、运营资金风险、市场价格变动风险、供应链存在的风险、政策风险。 机潮有望带动消费电子复苏 行业专题/电子 本报告版权属于安信证券股份有限公司,各项声明请参见报告尾页。 3 内容目录 1. 光刻机:芯片制程升级的核心设备............................................. 7 1.1. 光刻机将电路图案转移硅片上,是芯片制程升级的核心设备 ................. 7 1.2. 光刻机的主要技术指标包含分辨率、光刻机精度、产能 ..................... 8 1.3. 光刻机演进历程:从接触式到EUV........................................ 10 2. 光刻机市场达200多亿美元,ASML垄断高端市场 ............................... 13 2.1. 光刻机约占半导体设备市场24%......................................... 13 2.2. ASML垄断高端光刻机,国产光刻机28nm取得突破......................... 13 3. 2022年全球光刻机零部件市场约124亿美元,光源、光学、双工台是核心系统 ..... 15 3.1. 光刻机系统构成 ...................................................... 15 3.2. 光源系统:为光刻机提供合适波长和稳定光线 ............................ 19 3.3. 光学系统:为光刻机提供精确投影和高效曝光 ............................ 22 3.4. 双工台:助力光刻机实现高效并行处理 .................................. 24 4. 相关标的.................................................................. 25 4.1. 茂莱光学:国内工业级精密光学龙头,半导体、AR/VR成长空间广阔......... 25 4.2. 福晶科技:全球光学晶体龙头,精密光学元件广泛布局VR/AR、半导体设备等领域 ....................................................................... 27 4.3. 福光股份:航天级高端镜头领导者,引领AI、泛半导体超高精密光学国产替代 29 4.4. 炬光科技:稀缺激光元器件厂商,激光雷达+泛半导体+医美多产业布局 ...... 32 4.5. 晶方科技:晶圆级封装龙头,汽车摄像头、微型光学镜头、GaN器件打开成长空间 ....................................................................... 34 4.6. 苏大维格:纳米压印光刻龙头,业务涉及半导体、无人驾驶、AR/VR检测等多领域 ....................................................................... 36 4.7. 奥普光电:光刻机核心部件供应商,业务涵盖半导体、航空航天、医疗等多个领域 ....................................................................... 39 4.8. 波长光电:精密光学元件、组件主要生产商,主营业务营收稳步增长 ........ 40 4.9. 旭光电子:电真空+军工+电子陶瓷共同发力,发射管、氮化铝结构件打入半导体设备供应链 ............................................................... 42 4.10. 腾景科技:精密光学元件主要提供商之一,半导体+AR有望打开成长空间.... 44 4.11. 同飞股份:数控温控装备龙头,半导体器件制造设备专用温控设备供应商 ... 45 4.12. 中瓷电子:电子陶瓷产品龙头,资源整合切入化合物半导体领域 ........... 47 4.13. 芯碁微装:国产直写光刻设备龙头,业务布局包括PCB、泛半导体与光伏域.. 49 5.风险提示................................................................... 51 5.1. 研发技术风险 ........................................................ 51 5.2. 运营资金风险 ........................................................ 51 5.3. 市场价格变动风险 .................................................... 51 5.4. 供应链存在的风险 .................................................... 51 5.5. 政策风险 ............................................................ 51 图表目录 图1. ASML旗下EUV光刻机...................................................... 7 图2. 光刻工艺流程图 .......................................................... 8 图3. 光刻工艺技术图 .......................................................... 8 图4. TWINSCAN NXT2100i光刻机内部结构......................................... 8 行业专题/电子 本报告版权属于安信证券股份有限公司,各项声明请参见报告尾页。 4 图5. 可见光谱图 .