您的浏览器禁用了JavaScript(一种计算机语言,用以实现您与网页的交互),请解除该禁用,或者联系我们。[华金证券]:集成电路封装:走进“芯”时代系列深度之六十七“2.5D/3D封装”:技术发展引领产业变革,向高密度封装时代迈进 - 发现报告
当前位置:首页/行业研究/报告详情/

集成电路封装:走进“芯”时代系列深度之六十七“2.5D/3D封装”:技术发展引领产业变革,向高密度封装时代迈进

电子设备2023-09-21孙远峰、王海维、王臣复华金证券
集成电路封装:走进“芯”时代系列深度之六十七“2.5D/3D封装”:技术发展引领产业变革,向高密度封装时代迈进

证券研究报告本报告仅供华金证券客户中的专业投资者参考请仔细阅读在本报告尾部的重要法律声明技术发展引领产业变革,向高密度封装时代迈进集成电路封装/行业深度报告领先大市-A(首次)分析师:孙远峰 S0910522120001分析师:王海维 S0910523020005分析师:王臣复 S09105230200062023年09月21日华金证券电子团队一走进“芯”时代系列深度之六十七“2.5D/3D封装” 2请仔细阅读在本报告尾部的重要法律声明核心观点u打破IC发展限制,向高密度封装时代迈进。集成电路封装是指将制备合格芯片、元件等装配到载体上,采用适当连接技术形成电气连接,安装外壳,构成有效组件的整个过程,封装主要起着安放、固定、密封、保护芯片,以及确保电路性能和热性能等作用。先进封装技术通过采用更紧凑、更高级设计和制程技术,可提供更高集成度,更小尺寸,更高性能及更低能耗芯片。通过将多个芯片堆叠,在显著提高集成度及性能时,降低空间需求。在性能与能耗上,先进封装通过优化设计与制程,可大幅提高信号传输速度,降低功耗。在制程技术上,先进封装采用如微细化焊球、超低k材料等创新技术,使得封装电气性能及散热性能有显著提升。未来封装各类间距将会进一步下降,Bump I/0间距将会缩小至50-40μm之间,重布层线宽间距将至2/2μm,高密度封装时代渐行渐近。u横向连接/纵向堆叠奠定先进封装技术基石。(1)倒装:在I/O底板上沉积锡铅球,将芯片翻转加热,利用熔融锡铅球与陶瓷机板相结合来替换传统打线键合;(2)重新布线(RDL):将原来设计的IC线路接点位置(I/O pad),通过晶圆级金属布线制程和凸块制程改变其接点位置,使IC能适用于不同的封装形式;(3)晶圆级封装:先在整片晶圆上同时对众多芯片进行封装、测试,最后切割成单个器件,并直接贴装到基板或PCB上,生产成本大幅降低,其中FI-WLP具有真正裸片尺寸的显著特点,通常用于低输入/输出(I/O)数量(一般小于400)和较小裸片尺寸工艺当中; FO-WLP初始用于将独立的裸片重新组装或重新配置到晶圆工艺中,并以此为基础,通过批量处理、构建和金属化结构,Fan-Out的Bump可以长到Die外部,封装后IC也较Die面积更大(1.2倍最大)。(4)TSV:TSV贯穿2.5D/3D封装应用,TSV生产流程涉及到深孔刻蚀、PVD、CVD、铜填充、微凸点及电镀、清洗、减薄、键合等二十余种设备,其中深孔刻蚀、气相沉积、铜填充、CMP去除多余金属、晶圆减薄、晶圆键合等工序涉及的设备最为关键。在2.5D封装中TSV充当多颗裸片和电路板之间桥梁,其中CoWoS为2.5D封装中最突出代表,在3D中TSV用于堆叠,HBM为3D封装最典型应用。(5)混合键合:HB技术简化3D堆叠布线层,可实现更高互联密度HB技术,且可直接省略再布线,使设计难度降低,避免再布线及倒装回流焊可提高可靠性。(6)板级埋入式封装:将带有多层导电金属互连的超薄硅片埋入有机封装基板的最上层,通过焊球与倒装芯片的连接,以实现两个或多个芯片之间的局部高密度互连,与台积电的CoWoS-S封装相比,EMIB封装既不需要TSV工也不需要Si中介层,因此其具有封装良率高、设计简单、成本更低等优点。 3请仔细阅读在本报告尾部的重要法律声明核心观点u材料与设备任重道远,制造与IDM厂商入驻先进封装,开辟中道工艺。从竞争格局来看,各类半导体封装材料市场集中度较高。日本厂商在各类封装材料领域占据主导地位,部分中国大陆厂商已跻身前列(引线框架、包封材料),成功占据一定市场份额。在国产替代方面,根据头豹研究院数据,中国半导体封装材料整体国产化率约30%,其中引线框架、键合金属丝的国产替化率最高,分别达到40%和30%,而陶瓷封装材料、芯片粘结材料与封装基板等材料国产化率仅5%-10%。先进封装处于晶圆制造与封测中的交叉区域。先进封装要求在晶圆划片前融入封装工艺步骤,具体包括应用晶圆研磨薄化、线路重排(RDL)、凸块制作(Bumping)及三维硅通孔(TSV)等工艺技术。先进封装更多在晶圆层面上进行,采用前道制造方式来制作后道连接电路,工艺流程的相似性使得两者使用设备也大致相同,其中倒装就要采用植球、电镀、光刻、蚀刻等前道制造的工艺,2.5D/3D封装TSV技术就需要光刻机、涂胶显影设备、湿法刻蚀设备等,从而使得晶圆制造与封测前后道制程中出现中道交叉区域。u芯粒IP复用延续摩尔定律,新建晶圆厂与产线扩产共促封测需求。Chiplet技术背景下,可将大型单片芯片划分为多个相同或者不同小芯片,这些小芯片可以使用相同或者不同工艺节点制造,再通过跨芯片互联及封装技术进行封装级别集成,降低成本的同时获得更高的集成度。Chiplet优势:(1)接力摩尔定律,持续推进经济效应;(2)Chiplet助力良率及晶圆使用面积显著性提升;(3)较SoC综合成本下降;(4)芯粒IP化,设计周期及成本显著降低。全球8寸、12寸晶圆产能有望持续提升,直接带动封装需求;Fabless纵向拓展封测领域,有望带动先进封装多元发展;各大封测厂积极扩产,为新一轮应用需求增长做好准备。u投资建议:ChatGPT依赖大模型、大数据、大算力支撑,其出现标志着通用人工智能的起点及强人工智能的拐点,未来算力将引领下一场数字革命,xPU等高端芯片需求持续增长。先进封装为延续摩尔定理提升芯片性能及集成度提供技术支持,随着Chiplet封装概念持续推进,先进封装各产业链(封装/设备/材料/IP等)将持续受益。u建议关注:通富微电、长电科技、华天科技、芯原股份、北方华创、华峰测控、华海诚科、鼎龙股份、华封科技(未上市)u风险提示:行业与市场波动风险;国际贸易摩擦风险;新技术、新工艺、新产品无法如期产业化风险;主要原材料供应及价格变动风险等。 4请仔细阅读在本报告尾部的重要法律声明目录010204030506先进封装:打破IC发展限制,向高密度封装时代迈进技术分析:横向连接/纵向堆叠奠定先进封装技术基石产业链:材料与设备任重道远,先进封装粲然可观行业现状:制造与IDM厂商入驻先进封装,开辟中道工艺应用与需求:芯粒IP复用延续摩尔定律,新建晶圆厂与产线扩产共促封测需求相关标的07风险提示 5请仔细阅读在本报告尾部的重要法律声明分目录01先进封装:打破IC发展限制,向高密度封装时代迈进•1.1 封装:保护芯片及确保电路性能•1.2 发展历程:迎来以3D封装为代表高密度封装时代•1.3 区别:连接芯片方式划分传统与先进•1.4 工艺流程拆解:以成型为时间点划分封装前后段操作•1.5 传统封装 Vs.先进封装•1.6 意义:打破存储/面积/功能墙等集成电路发展限制•1.7 趋势:各间距持续缩小•1.8 市场•1.8.1 营收逐季改善,2024年有望迎来全面反弹•1.8.2 全球集成电路月度销售额拐点出现,有望带动封装市场 6请仔细阅读在本报告尾部的重要法律声明分目录02技术分析:横向连接/纵向堆叠奠定先进封装技术基石•2.1 倒装•2.1.1 倒装(FC) = 贴装 + 引线键合•2.1.2 Bumping为晶圆制造环节延伸,为FC前提•2.2 重新布线层(RDL):改变IC线路接点位置•2.3 晶圆级封装(WLP)•2.3.1 在晶圆上对芯片进行操作•2.3.2 WLP依据芯片/封装大小划分扇入/出•2.3.3 WLP依据Chip/RDL工艺先后类别进一步划分•2.4 硅通孔(TSV)•2.4.1 TSV贯穿2.5D/3D封装•2.4.2 2.5D封装TSV充当多颗裸片和电路板之间桥梁•2.4.3 TSV在2.5D封装中应用实例——CoWoS•2.4.4 3D封装中TSV用于堆叠•2.4.5 TSV在3D封装中应用实例——HBM•2.4.6 2.5D封装 Vs.3D封装•2.5 混合键合(HB)•2.5.1 混合键合利用范德华力实现•2.5.2 混合键合应用于D2W•2.6 四大连接技术对比•2.7 板级埋入式封装:无需Si中介层及TSV工艺 7请仔细阅读在本报告尾部的重要法律声明分目录03产业链:材料与设备任重道远,先进封装粲然可观•3.1 封装材料:各类半导体封装材料集中度较高,国产替代呈现两极分化•3.1.1 高端基板:先进封装带动高端基板需求,国产化亟待突破•3.1.2 环氧塑封料:传统封装中国产化较高,先进封装中外资厂商仍处垄断地位•3.2 封装设备•3.2.1 封装设备:封测设备占比有望提升至19%,贴片机为核心设备•3.2.2 先进封装设备:晶圆划片前融入封装工艺步骤,前道设备需求加剧•3.3先进封装•3.3.1 封装市场有望超1,300亿美元,先进封装占比超50%•3.3.2 2027年先进封装市场规模有望达650亿美元•3.3.3 代工厂抢占先进封装市场份额,6大厂商加工先进封装晶圆超80%•3.3.4 OSAT竞争格局稳定,日月光集团、安靠科技、长电稳居前三甲 8请仔细阅读在本报告尾部的重要法律声明分目录04行业现状:制造与IDM厂商入驻先进封装,开辟中道工艺•4.1 台积电•4.1.1 前段(CoW/WoW)+后段(oS/InFO) = 3D Fabric•4.1.2 SoIC为先进封装前段工序,由WoW及CoW技术构成•4.1.3 InFO = 集成+扇出封装•4.2 三星•4.2.1 I-Cube2.5D=I-Cube S + I-Cube E + H-Cube•4.2.2 通过垂直堆叠方式大幅节省芯片上空间•4.3 Intel•4.3.1 嵌入式多芯片互连桥为Intel2.5D封装亮点•4.3.2 Foveros将不同工艺、结构、用途芯片整合•4.4 日月光集团:扇出型基板上晶片封裝(FOCoS)•4.5 安靠科技:深度布局TSV-less工艺(FOWLP,Chip last, Die face down)•4.6 长电科技:TSV-less路线实现高性价比先进封装•4.7 对比:先进封装领域内国内技术与头部厂商差距较小 9请仔细阅读在本报告尾部的重要法律声明分目录05应用与需求:芯粒IP复用延续摩尔定律,新建晶圆厂与产线扩产共促封测需求•5.1 Chiplet概念•5.1.1 大道至简,芯粒IP复用构建高集成度芯片•5.1.2 为异构/异质集成实现夯实技术基础•5.2 发展:Chiplet进入成长期,标准逐渐统一•5.3 Chiplet优势•5.3.1 接力摩尔定律,持续推进经济效应•5.3.2 Chiplet助力良率及晶圆使用面积显著性提升•5.3.3 较SoC综合成本下降•5.3.4 芯粒IP化,设计周期及成本显著降低•5.4 应用•5.4.1 5G/物联网/高性能运算/智能驾驶/XR等带动先进封装需求•5.4.2 手机与消费领域为先进封装最大应用领域•5.4.3 先进封装在智能手机多芯片/传感器得到应用•5.4.4 智能驾驶级别上升将带动汽车领域先进封装需求•5.4.5 高效节能芯片需求带动高性能计算领域先进封装加速渗透•5.4.6 算力为实现AI产业化核心,高端芯片需求带动先进封装增长•5.4.7 AIGC多行业渗透,间接提高先进封装市场增量•5.5 需求•5.5.1 中国晶圆厂独占鳌头,预计至2024年底建立50座大型晶圆厂•5.5.2 全球8寸、12寸晶圆产能有望持续提升,直接带动封装需求•5.5.3 Fabless纵向拓展封测领域,有望带动先进封装多元发展•5.5.4 各大封测厂积极扩产,为新一轮应用需求增长做好准备 10请仔细阅读在本报告尾部的重要法律声明分目录06相关标的•6.1 通富微电:AMD深度绑定,先进封装前景可期•6.2 长电科技:全球领先的集成电路制造和技术服务提供商•6.3 华天科技:以3D Matrix平台为基础,构建先进封装技术地基•6.4 芯原股份:全球领先的IP授权服务商•6.5 北方华创:多设备应用于先进封装领域•6.6 华峰测控:产品+技术+客户三大优势,巩固国内测试机龙头地位•6.7 鼎龙股份:CMP+先进封